CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL LCD

搜索资源列表

  1. TFT-TGB-CONTROL.rar

    1下载:
  2. TFT-LCD-RGB的控制驱动显示程序,LCD_RGB CONTROL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4141314
    • 提供者:shiquan
  1. lcd_driver_4bit

    1下载:
  2. it is a 4-bit lcd driver written in verilog .it will work on spartan 3 xilini devices.
  3. 所属分类:VHDL编程

    • 发布日期:2013-08-08
    • 文件大小:2616
    • 提供者:ali
  1. MEGA8_TFT_LCD 利用单片机MEGA8驱动TFT彩色LCD显示屏

    0下载:
  2. 利用单片机MEGA8驱动TFT彩色LCD显示屏,效果堪比手机。-MEGA8 drive the use of single-chip TFT color LCD screen, the effect of mobile phone rivals.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-09-30
    • 文件大小:67405
    • 提供者:qixiumin
  1. xianshi

    0下载:
  2. spartan-3e lcd 字符滚动显示-spartan-3e lcd display characters rolling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:435209
    • 提供者:柯富茗
  1. ILI9327_Example

    0下载:
  2. 基于ILI9327这款LCD控制器的液晶屏驱动程序,由STM32驱动-This LCD controller based ILI9327 LCD driver, driven by the STM32
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2016-01-26
    • 文件大小:36864
    • 提供者:骨头好
  1. TFTLCD

    1下载:
  2. 基于FPGA的彩屏LCD控制器,800*480,显示彩条,TFT LCD型号AT070TN83-The TFT Lcd controller based on FPGA.The Matrix is 800*480,it can display color bands.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-06
    • 文件大小:1024
    • 提供者:willam
  1. LCD

    1下载:
  2. LCD1602的程序,只需改一改显示常量就可以!在ISE中调试成功-LCD1602 process, just simply show the constants can be! Successful commissioning of the ISE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2457
    • 提供者:zyb
  1. DE2_demonstrations

    0下载:
  2. DE2开发板上的资料,主要是他的例子,含有各种接口程序,如VGA,USB,LCD等-DE2 development board information, mainly his example, contain a variety of interface program, such as VGA, USB, LCD, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-20
    • 文件大小:44078966
    • 提供者:翁文天
  1. VHDLprogram

    0下载:
  2. VHDL的程序包,包括LED控制,LCD控制、DAC0832接口电路、URAT、FSK\PSK\MASK调制、波形发生器等。适合工程参考-VHDL package, including the LED control, LCD control, DAC0832 Interface Circuit, URAT, FSK \ PSK \ MASK modulation, such as waveform generator. Reference for the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1133924
    • 提供者:
  1. LCD_VHDL

    0下载:
  2. 液晶模块输出VHDL程序 程序实现的功能是标准的16×2字符型液晶模块上显示字符串-LCD module output VHDL procedures to achieve the function of the procedure is a standard 16 × 2 character LCD module to display the string
  3. 所属分类:source in ebook

    • 发布日期:2017-03-26
    • 文件大小:436274
    • 提供者:zl.yin
  1. formatter

    0下载:
  2. Actel 基本VHDl模块源代码,包括BCD、LCD、PLL等-Actel basic VHDL source code modules, including BCD, LCD, PLL, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1480
    • 提供者:曾捷
  1. S4_LCD_VHDL

    0下载:
  2. 基于ep1c6的vhdl的lcd控制程序实例 -Based on the VHDL ep1c6 the lcd control procedures examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:323218
    • 提供者:ones
  1. ps2_keyboard

    0下载:
  2. 这是一个键盘显示的工程,可以通过在键盘上输入任意一个值,然后在板上的LCD上显示出来。-This is a keyboard display of works is available through the keyboard input any value, and then in the LCD panel on the show.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1067051
    • 提供者:于小燕
  1. lcd

    0下载:
  2. 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1053571
    • 提供者:luojicheng
  1. lcd_1602

    0下载:
  2. 1602 LCD控制的verilog代码,学习的好东西-1602 LCD control Verilog code, learning good things
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:54025
    • 提供者:sdffer343
  1. LCD-edk

    0下载:
  2. edk实现lcd通信,c语言和vhdl语言-edk lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3113401
    • 提供者:liujin
  1. lcdfinal

    0下载:
  2. LCD显示,用verilog写的,quartus-LCD display,verilog,quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:510572
    • 提供者:amy
  1. LVDS

    0下载:
  2. 很多液晶屏都具有LVDS接口,本文对液晶屏的各种LVDS接口定义进行了详细说明。-Have a lot of LCD LVDS interface, this article on the various LVDS LCD interface definition described in detail.
  3. 所属分类:File Formats

    • 发布日期:2017-04-08
    • 文件大小:3734
    • 提供者:刘先生
  1. VHDL

    0下载:
  2. DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 implementation by DAC voltage si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:736095
    • 提供者:wang
  1. LCD_Driver

    0下载:
  2. this a LCD Driver VHDL code -this is a LCD Driver VHDL code
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-23
    • 文件大小:2374
    • 提供者:nakata
« 1 23 4 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com